Unified Power Format

Unified Power Format (UPF) is the popular name of the Institute of Electrical and Electronics Engineers (IEEE) standard for specifying power intent in power optimization of electronic design automation. The IEEE 1801-2009 release of the standard was based on a donation from the Accellera organization.

History

A Unified Power Format technical committee was formed by the Accellera organization, chaired by Stephen Bailey of Mentor Graphics. As a reaction to the Power Forward Initiative the group was proposed in July 2006 and met on September 13, 2006.[1] It submitted its first draft in January 2007, and a version 1.0 was approved to be published on February 26, 2007.[2] Joe Daniels was technical editor.[3]

Files written to this standard annotate an electric design with the power and power control intent of that design. Elements of that annotation include:

The standard describes extensions to the Tool Command Language (Tcl): commands and arguments for anotating a design hierarchy which has been read into a tool. Semantics for inferring additional elements in the design from the intent are provided in the standard. Digital designers, IP Block providers, Physical Designers, and Verification engineers make use of this standard language to communicate their design intent and implementation with respect to the variable power of an electronic system.

The Design Automation Standards Committee (DASC) of the IEEE Standards Association sponsored working group 1801, with the project authorization approved on May 7, 2007.[4][5] Goals included:

The IEEE group was initially called the "Low Power Study Group". Proposed standards have the letter "P" in front of them (such as P1801), which is removed and replaced with a dash and year when the standard is ratified. Accelera's UPF 1.0 was donated to the IEEE as a basis of this standard in June 2006.[6]

After reviewing 14 drafts, on March 27, 2009, the "Standard for Design and Verification of Low Power Integrated Circuits" was published as IEEE Std 1801-2009.[7] It is sometimes called UPF 2.0. Bailey was also chairman of the IEEE group.[8] Another notable supporter of the standard was Synopsys.[9] A follow-on project planned to develop a list of frequently asked questions (FAQ) about the specification.[5]

References

  1. Richard Goering (September 18, 2006). "Accellera rolls power plan". EE Times. Retrieved July 7, 2011.
  2. "Documents from Group 'Unified Power Format Technical Committee'". Accellera public groups area. Retrieved July 7, 2011.
  3. "Unified Power Format (UPF) Standard Version 1.0" (PDF). Accellera. Retrieved August 7, 2011.
  4. Sherry Hampton (May 9, 2007). "P1801 - Standard for Design and Verification of Low Power Integrated Circuits" (PDF). IEEE Standards Association Standards Board. Retrieved July 7, 2011.
  5. 1 2 "P1801 Low Power Study Group By Thread". Hypermail archive. Retrieved July 7, 2011.
  6. "IEEE P1801 - Unified Power Format Standard". Accelera Organization Inc. Retrieved July 7, 2011.
  7. UPF: Standard for Design and Verification of Low Power Integrated Circuits (March 27, 2009). "1801-2009 - IEEE Standard for Design and Verification of Low Power Integrated Circuits". IEEE Standards Association. doi:10.1109/IEEESTD.2009.4809845. ISBN 978-0-7381-5930-0. Retrieved July 6, 2011.
  8. Nic Mokhoff (March 20, 2009). "IEEE approves low-power design spec". EE Times. Retrieved July 7, 2011.
  9. "IEEE 1801-2009 ― Unified Power Format (UPF)". Synopsys. Retrieved July 7, 2011.

External links

This article is issued from Wikipedia - version of the 3/14/2016. The text is available under the Creative Commons Attribution/Share Alike but additional terms may apply for the media files.