Next-generation lithography

Next-generation lithography or NGL is a term used in integrated circuit manufacturing to describe the lithography technologies slated to replace photolithography. As of 2016 the most advanced form of photolithography is immersion lithography, in which water is used as an immersion medium for the final lens. It is being applied to the 16 nm and 14 nm nodes, with the required use of multiple patterning. The increasing costs of multiple patterning have motivated the continued search for a next-generation technology that can flexibly achieve the required resolution in a single processing step.

Candidates for next-generation lithography include: extreme ultraviolet lithography (EUV-lithography), X-ray lithography, electron beam lithography, focused ion beam lithography, and nanoimprint lithography. Electron beam lithography was most popular during the 1970s, but was replaced in popularity by X-ray lithography during the 1980s and early 1990s, and then by EUV lithography from the mid-1990s to the mid-2000s. Focused ion beam lithography has carved a niche for itself in the area of defect repair. Nanoimprint's popularity is rising, and is positioned to succeed EUV as the most popular choice for next-generation lithography, due to its inherent simplicity and low cost of operation as well as its success in the LED, hard disk drive and microfluidics sectors.

The rise and fall in popularity of each NGL candidate largely hinged on its throughput capability and its cost of operation and implementation. Electron beam and nanoimprint lithography are limited mainly by the throughput, while EUV and X-ray lithography are limited by implementation and operation costs. The projection of charged particles (ions or electrons) through stencil masks was also popularly considered in the early 2000s but eventually fell victim to both low throughput and implementation difficulties.

Each NGL candidate faced more competition from the extension of photolithography than from any other NGL candidate, as more and more methods of improving photolithography continued to be developed, including optical proximity correction, off-axis illumination, phase-shift masks, liquid immersion lithography, and double patterning. Even within the area of photolithography, there is a list of "next-generation" techniques, including two-photon lithography, 157 nm wavelength, and high-index immersion.

NGL issues

The difficulty of extending optical lithography has been the main selling point of NGL. However, a leading chipmaker would benefit significantly less than a lagging chipmaker, due to the huge additional investments in extending optical lithography up to its current state. In this hypothetical case, introducing NGL would allow some chipmakers to skip several lithography generations.

Fundamental issues

Regardless of whether NGL or photolithography is used, etching of polymer (resist) is the last step. Ultimately the quality (roughness) as well as resolution of this polymer etching limits the inherent resolution of the lithography technique. Next generation lithography also generally makes use of ionizing radiation, leading to secondary electrons which can limit resolution to effectively > 20 nm.[1]

Market issues

The above-mentioned competition between NGL and the recurring extension of photolithography, where the latter consistently wins, may be more a strategic than a technical matter. If a highly scalable NGL technology were to become readily available, late adopters of leading-edge technology would immediately have the opportunity to leapfrog the current use of advanced but costly photolithography techniques, at the expense of the early adopters of leading-edge technology, who have been the key investors in NGL. While this would level the playing field, it is disruptive enough to the industry landscape that the leading semiconductor companies would probably not want to see it happen.

The following example would make this clearer. Suppose company A manufactures down to 28 nm, while company B manufactures down to 7 nm, by extending its photolithography capability by implementing double patterning. If an NGL were deployed for the 5 nm node, both companies would benefit, but company A currently manufacturing at the 28 nm node would benefit much more because it would immediately be able to use the NGL for manufacturing at all design rules from 22 nm down to 7 nm (skipping all the said multiple patterning), while company B would only benefit starting at the 5 nm node, having already spent much on extending photolithography from its 22 nm process down to 7 nm. The gap between Company B, whose customers expect it to advance the leading edge, and Company A, whose customers don't expect an equally aggressive roadmap, will continue to widen as NGL is delayed and photolithography is extended at greater and greater cost, making the deployment of NGL less and less attractive strategically for Company B. With NGL deployment, customers will also be able to demand lower prices for products made at advanced generations.

This becomes more clear when considering that each resolution enhancement technique applied to photolithography generally extends the capability by only one or two generations. For this reason, the observation that "optical lithography will live forever"[2] will likely hold, as the early adopters of leading-edge technology will never benefit from highly scalable lithography technologies in a competitive environment.

There is therefore great pressure to deploy an NGL as soon as possible, but the NGL ultimately may be realized in the form of photolithography with more efficient multiple patterning, such as directed self-assembly or aggressive cut reduction.

Summary Table

technique single exposure resolution max. mask defect height max. mask defect size throughput issues
193 nm 1.35 NA (current) 40 nm 34 nm 80 nm 130 WPH water immersion
193 nm 1.7 NA 30 nm 34 nm 60 nm development stopped toxic high-index materials needed (prohibitive)
157 nm 1.7 NA 25 nm 24 nm 50 nm development stopped lack of materials; CaF2 required to replace fused silica (prohibitive)[3]
13.5 nm 0.25 NA (EUVL) ~ 30 nm (secondary electrons)[4][5] 0.4 nm (prohibitive)[6] 40 nm 4 WPH (prohibitive)[7] ionization; shot noise; throughput; mask defects
X-ray proximity printing ~ 30 nm (secondary electrons) > 100 nm depends on proximity gap; matches mask ('prohibitive) comparable to optical mask membrane (prohibitive);[8] source (possibly prohibitive)
Nanoimprint N/A (matches template) residual layer thickness 0 nm (prohibitive) >1 WPH bubble defects (possibly prohibitive);[9][10] master template lithography (prohibitive if electron-beam); master template inspection
Electron beam ~ 30 nm (secondary electrons) N/A N/A single beam prohibitively slow; multiple beams required charging; shot noise; interactions between parallel electrons
Multiple electron beams ~ 30 nm (secondary electrons) N/A N/A 10 WPH targeted charging; shot noise; interactions between parallel electrons; stitching
Charged particle projection ~ 30 nm (secondary electrons) case-dependent for scattering membrane; N/A for stencil case-dependent for scattering membrane; N/A for stencil trade-off with exposure current, i.e., resolution (prohibitive)[11] charging; contamination of stencil openings; double stencil exposure required for islands; mask membrane (prohibitive)

The complexities of next-generation lithography development have always spurred the pursuit of ways of extending the use of incumbent lithographic materials, light sources, and tools. Currently, multiple electron beams is an NGL being considered for its possible avoidance of prohibitively low direct-write throughput, not only for wafers but also for masks as well.

References

  1. K. W. Lee et al., J. Kor. Phys. Soc. 55, 1720 (2009). Archived 22 July 2011 at the Wayback Machine.
  2. T. A. Brunner, J. Vac. Sci. Tech. B, vol. 21, pp. 2632–2637 (2003).
  3. EETimes: 157 nm dropped from Intel's roadmap
  4. R. Feder et al., J. Vac. Sci. Tech. 12, 1332 (1975).
  5. D. J. D. Carter et al., J. Vac. Sci. & Tech. B 15, pp. 2509–2513 (1997).
  6. 2008 EUV Lithography Workshop coverage at Semiconductor International
  7. IMEC report on EUV Performance (Semiconductor International, 23 October 2008)
  8. Molecular Imprints: Layer-to-layer alignment for SFIL
  9. H. Hiroshima and M. Komuro, Jpn. J. Appl. Phys. 46, pp. 6391–6394 (2007).
  10. X. Liang et al., Nanotechnology 18, 025303 (2007).
  11. Semiconductor International: Space-charge beam blur tradeoff between throughput and resolution

See also

This article is issued from Wikipedia - version of the 11/30/2016. The text is available under the Creative Commons Attribution/Share Alike but additional terms may apply for the media files.