Frequency scaling

For the scaling of electrical networks, see prototype filter § frequency scaling. For the power conservation technique, see dynamic frequency scaling.

In computer architecture, frequency scaling (also known as frequency ramping) is the technique of increasing a processor's frequency so as to enhance the performance of the system containing the processor in question. Frequency ramping was the dominant force in commodity processor performance increases from the mid-1980s until roughly the end of 2004.

The effect of processor frequency on computer speed can be seen by looking at the equation for computer program runtime:

where instructions per program is the total instructions being executed in a given program, cycles per instruction is a program-dependent, architecture-dependent average value, and time per cycle is by definition the inverse of processor frequency.[1] An increase in frequency thus decreases runtime.

However, power consumption in a chip is given by the equation

where P is power consumption, C is the capacitance being switched per clock cycle, V is voltage, and F is the processor frequency (cycles per second).[2] Increases in frequency thus increase the amount of power used in a processor. Increasing processor power consumption led ultimately to Intel's May 2004 cancellation of its Tejas and Jayhawk processors, which is generally cited as the end of frequency scaling as the dominant computer architecture paradigm.[3]

Moore's Law, despite predictions of its demise, is still in effect. Despite power issues, transistor densities are still doubling every 18 to 24 months. With the end of frequency scaling, these new transistors (which are no longer needed to facilitate frequency scaling) can be used to add extra hardware, such as additional cores, to facilitate parallel computing - a technique that is being referred to as parallel scaling.

The end of frequency scaling as the dominant cause of processor performance gains has caused an industry-wide shift to parallel computing in the form of multicore processors.

NOTE: At this point in time, 2016, the comment on Moore's Law appears to be misdirected and outdated. See for example: [4]

References

  1. John L. Hennessy and David A. Patterson. Computer Architecture: A Quantitative Approach. 3rd edition, 2002. Morgan Kaufmann, ISBN 1-55860-724-2. Page 43.
  2. J. M. Rabaey. Digital Integrated Circuits. Prentice Hall, 1996.
  3. Laurie J. Flynn. Intel Halts Development of 2 New Microprocessors. New York Times, May 8, 2004.
  4. http://arstechnica.com/information-technology/2016/02/moores-law-really-is-dead-this-time/
This article is issued from Wikipedia - version of the 11/11/2016. The text is available under the Creative Commons Attribution/Share Alike but additional terms may apply for the media files.