Charge trap flash

Charge Trap Flash (CTF) is a semiconductor memory technology used in creating non-volatile NOR and NAND flash memory. The technology differs from the more conventional floating-gate MOSFET technology in that it uses a silicon nitride film to store electrons rather than the doped polycrystalline silicon typical of a floating gate structure. This approach allows memory manufacturers to reduce manufacturing costs five ways:

  1. Fewer process steps are required to form a charge storage node
  2. Smaller process geometries can be used (therefore reducing chip size and cost)
  3. Multiple bits can be stored on a single flash memory cell.
  4. Improved reliability
  5. Higher yield since the charge trap is less susceptible to point defects in the tunnel oxide layer

AMD and its partner Fujitsu pioneered the production of charge-trapping flash memory in 2002 with the introduction of the GL NOR flash memory family, and the same business, now operating under the Spansion name, has produced charge trapping devices in high volume since that time. Charge trapping flash accounted for 30% of 2008's $2.5 billion NOR flash market. Saifun Semiconductors, who licensed a large charge trapping technology portfolio to several companies, was acquired by Spansion in March 2008.

Although the charge trapping concept has been known since 1967, it wasn't until 2002 that AMD and Fujitsu produced high-volume charge-trapping flash memories.

History

The charge trapping mechanism, first observed in the 1960s, was used as a storage mechanism in EEPROM before it became popular for use in flash memory.

Charge Trapping before flash in EEPROM

Charge trapping memory technology was first introduced through the invention of the MNOS transistor by H.A.R.[1] Wegener in 1967. This device could be programmed through the application of a 50-volt forward or reverse bias between the gate and the channel to trap charges that would impact the threshold voltage of the transistor.

In 1977, P.C.Y. Chen, published a [2] paper detailing the invention of SONOS, a technology with far less demanding program and erase conditions and longer charge storage. This improvement led to manufacturable EEPROM devices based on charge-trapping SONOS in the 1980s.

In 1998, Boaz Eitan of Saifun Semiconductor (later acquired by Spansion) patented[3] a flash memory technology named NROM that took advantage of a charge trapping layer to replace the floating gate used in conventional flash memory designs. Two important innovations appear in this patent:

  1. The localization of the injected negative and positive charges close to the cell’s Drain/Source terminals
  2. Utilizing a Reverse Read concept to detect the cell’s stored data on either end of the charge trap

These two new ideas enabled high cycling thus allowing reliable charge trap flash products to be produced for the first time since the charge trapping concept was invented 30 years earlier. Furthermore, using these concepts it is possible to create two separate physical bits per cell, doubling the capacity of stored data per cell.

These innovations were further improved at AMD and Fujitsu (and later Spansion) and first put into volume production by these companies in what was called “MirrorBit Flash memory.”

Spansion MirrorBit Flash Memory

In 2002 AMD (in a division later spun off as Spansion) announced a new flash memory technology it called “MirrorBit.”[4] Spansion used this product to reduce manufacturing costs and extend the density range of NOR Flash memory past that of conventional NOR flash and to match the cost of the multi-level cell NOR flash being manufactured by Intel.

Spansion MirrorBit Cell

The MirrorBit cell uses a charge trapping layer not only as a substitute for a conventional floating gate, but it also takes advantage of the non-conducting nature of the charge storage nitride to allow two bits to share the same memory cell. Shown in Figure 1 the bits reside at opposite ends of the cell and can be read by running a current through the channel in different directions.

Products have been successfully made to combine this approach with multilevel cell technology to contain four bits on a cell.[5]

Charge Trapping Operation

Like the floating gate memory cell, a charge trapping cell uses a variable charge between the control gate and the channel to change the threshold voltage of the transistor. The mechanisms to modify this charge are relatively similar between the floating gate and the charge trap, and the read mechanisms are also very similar.

Charge Trapping vs Floating Gate mechanisms

In a charge trapping flash electrons are stored in a trapping layer just as they are stored in the floating gate in a standard flash memory, EEPROM, or EPROM. The key difference is that the charge trapping layer is an insulator, while the floating gate is a conductor.

High write loads in a flash memory cause stress on the tunnel oxide layer creating small disruptions in the crystal lattice called “oxide defects.” If a large number of such disruptions are created a short circuit develops between the floating gate and the transistor’s channel and the floating gate can no longer hold a charge. This is the root cause of flash wear-out (see Flash memory#Memory wear), which is specified as the chip’s “endurance.” In order to reduce the occurrence of such short circuits, floating gate flash is manufactured using a thick tunnel oxide (~100Å), but this slows erase when Fowler-Nordheim tunneling is used and forces the design to use a higher tunneling voltage, which puts new burdens on other parts of the chip.

A charge trapping cell is relatively immune to such difficulties, since the charge trapping layer is an insulator.[6] A short circuit created by an oxide defect between the charge trapping layer and the channel will drain off only the electrons in immediate contact with the short, leaving the other electrons in place to continue to control the threshold voltage of the transistor. Since short circuits are less of a concern, a thinner tunnel oxide layer can be used (50-70Å) increasing the trapping layer’s coupling to the channel and leading to a faster program speed (with localized trapped charges) and erasing with lower tunneling voltages. The lower tunneling voltages, in turn, place less stress on the tunnel oxide layer, leading to fewer lattice disruptions.

Another important benefit of using a charge trapping cell is that the thin charge trapping layer reduces capacitive coupling between neighboring cells to improve performance and scalability.[7]

Getting the charge onto the charge trapping layer

Electrons are moved onto the charge trapping layer similarly to the way that floating gate NOR flash is programmed, through channel hot electron (CHE) injection mechanism also known as Hot-carrier injection. In brief, a high voltage is placed between the control gate while a medium-high voltage is applied on the source and the drain while a current is induced from the source to the drain. Those electrons that have gained sufficient energy in traversing through the high-field region near the drain will boil off from the channel to be injected into the charge trapping layer where they come to rest.

Removing a charge from the charge trapping layer

Charge trapping flash is erased via hot hole injection (See Hot-carrier injection) as opposed to the Fowler–Nordheim tunneling approach used in both NAND and NOR flash for erasure. This process uses a field, rather than the current used in FN, to move holes toward the charge trapping layer to remove the charge.

Manufacturing Charge Trapping Flash

Charge trapping flash is similar in manufacture to floating gate flash with certain exceptions that serve to simplify manufacturing.

Materials differences from Floating Gate

Both floating gate flash and charge trapping flash use a stacked gate structure in which a floating gate or charge trapping layer lies immediately above the channel, and below a control gate. The floating gate or charge trapping layer is insulated from the channel by a tunnel oxide layer and from the control gate by a gate oxide layer. Materials for all of these layers are the same with the exception of the storage layer, which is conductive polysilicon for the floating gate structure and is typically silicon nitride for the charge trap.

Relationship of Charge Trapping to Silicon Nanocrystals

Freescale Semiconductor manufactures a somewhat similar technology the company calls "Thin Film Storage" in its microcontroller or MCU line. The Freescale approach uses silicon nanocrystals as conductive islands in a nonconductive layer of silicon oxide.

Like the more conventional silicon nitride charge trap, electrons do not flow from one side of the floating gate to the other, extending the cell's wear.

This nanocrystal approach is being manufactured in volume by Freescale and charge trapping storage in general is in development at ST Microelectronics, Philips, Renesas, Samsung, Toshiba, Atmel, and Spansion.[8]

Process differences from Floating Gate

Since the nitride charge trapping layer is nonconductive, it does not need to be patterned – all the charge traps are already insulated from each other. This can be used to simplify manufacturing.

Floating gate structures have required more elaborate gate dielectrics for the past few process generations and today commonly use an ONO (oxide-nitride-oxide) structure which is more complex to manufacture and is unnecessary in a charge-trapping flash.

One advantage of the nitride layer is that it is less sensitive to high temperature fabrication processing than is the polysilicon used in a floating gate. This simplifies processing of the layers above the charge trap.

In a marketing brochure Spansion has claimed that the processing cost of a MirrorBit NOR flash wafer is lower than that of a conventional floating gate wafer since there are 10% fewer photolithography mask steps, and 40% fewer "critical" steps (those requiring the finest resolution, and therefore the most expensive photolithographic equipment.)[9] Infineon's marketing materials showed that 15% fewer mask steps were required to make charge trapping NAND flash than to manufacture the equivalent floating gate product.

MirrorBit Flash Memory

Spansion's MirrorBit Flash and Saifun's NROM are two flash memories that use a charge trapping mechanism in nitride to store two bits onto the same cell effectively doubling the memory capacity of a chip. This is done by placing charges on either side of the charge trap layer. The cell is read by using forward and reverse currents through the channel to read either side of the charge trap.

MirrorBit operation – getting 2 bits onto the cell

Figure 2. Programming adds electrons to the charge trap through hot electron injection

During CHE programming (Figure 2) the hot electrons are injected from the channel into the charge trapping layer toward the biased drain end of the channel, but not from the floating source end of the channel. By allowing the transistor's source and drain to switch from one end of the channel to the other, charges can be injected and stored into the charge trapping layer over either end of the channel.

Figure 3. Erasing removes electrons from the charge trap through hot hole injection

In a similar way, one end of the charge trapping cell can be erased by placing the erasing field at one end or the other of the channel, allowing the other end to float as shown in Figure 3. Band-to-band Hot Hole Erase creates holes that are trapped locally some of which recombine with electrons to remove the charge from that end of the charge trap.

Reading 2 bits from the cell

The MirrorBit read is performed very simply by reversing the source and drain contacts. The junction depletion region extending from the drain side shields the channel from the charge on the side of the charge trapping cell that overlies the drain. The net result of this is that the drain-side charge has little effect on the current running through the channel, while the source-side charge determines the threshold of the transistor.

When source and drain are reversed, the opposite side's charge determines the transistor's threshold.

This way two different charge levels at either end of the charge trapping cell will cause two different currents to flow through the cell, depending on the direction of the current flow.

Future Charge Trap Directions

Charge Trapping NAND – Samsung and others

Samsung Electronics in 2006 disclosed[10] its research into the use of Charge Trapping Flash to allow continued scaling of NAND technology using cell structures similar to the planar structures in use at that time. The technology depends on a SONOS (semiconductor-oxide-nitride-oxide-semiconductor) or MONOS (metal-ONOS) capacitor structure, storing the information in charge traps in the nitride layer.

Samsung disclosed two cell structures: TANOS (Titanium, Alumina, Nitride, Oxide, Silicon) for 40 nm, where researchers believed that the existing 3D cap structure (described in detail later in this article) could not be manufactured, and THNOS, in which the aluminum oxide would be replaced with an undisclosed high-k dielectric material. The high-k material was expected to yield longer retention times than the aluminum oxide structure.

In a cap structure the control gate is extended to form a barrier between adjacent floating gates in a conventional floating gate cell.

Over the following five years many device designers found ways to push the cap structure to increasingly tighter process geometries, successfully producing NAND at the 30 nm node with this approach.

Charge trapping is still viewed as a future technology for NAND flash, but it is being considered more for vertical structures than for planar cells.

Why NAND needs charge trapping technology

Figure 4. Process Shrinks over Time

NAND flash has been scaling very aggressively (Figure 4.) As processes migrate, the width of the interface of the control gate and the floating gate shrinks in proportion to the square of the shrink, and the spacing between floating gates shrinks in proportion to the process shrink, but the floating gate’s thickness remains the same. (The thinner the floating gate is made the less tolerant the cell becomes to electron loss.) This means that the coupling between adjacent floating gates becomes larger than the coupling between the control gate and the floating gate, leading to data corruption between adjacent bits.

As processes continue to shrink, this becomes increasingly problematic. For this reason the control gate in modern NAND flash has been reconfigured to cap the floating gate. In a cap structure the control gate is extended to form a barrier between adjacent floating gates in a conventional floating gate cell (see Figure 5.) This serves to reduce coupling to the adjacent floating gate while increasing the coupling between the floating gate and the control gate. One drawback is that the control gate couples to the channel, so measures must be taken to minimize this coupling.

Figure 5. Capped Cell Structure to reduce capacitive coupling between adjacent floating gates

It was believed in 2006 that the existing floating gate cap structure could not be manufactured at processes smaller than the 50 nm node due to difficulties in producing the complex three-layer ONO gate oxide that these devices require.

Samsung even announced[11] in late 2006 that by 2008 it would put such a device into production at the 40 nm process node, but over the five years following this announcement many device designers found ways to push the cap structure to increasingly tighter process geometries, successfully producing NAND down to 20 nm node with this approach.

The charge trapping approach is still viewed as a future for NAND flash for processes smaller than 20 nm and is being considered for both planar as well as vertical 3D structures.

When this change might occur

Today SanDisk asserts that the company expects to continue to use conventional NAND structures into a second node in the 10–19 nm range.[12] This implies that standard device structures could stay in place until the industry reaches 10 nm, however the challenges of producing a reliable floating gate become more severe with each process shrink.

On the other hand, the International Technology Roadmap for Semiconductors (ITRS) process technology roadmap's 2010 Process Integration, Devices, and Structures (PIDS) tables[13] show adoption of charge trapping starting at 22 nm in 2012, and becoming mainstream in 2014 with the 20 nm process.

It is possible that a planar charge trapping cell will be used for future processes. No manufacturers have yet disclosed their processes for geometries smaller than 19 nm.

Charge trapping layers for vertical structures

Vertical structures are seen as a logical next step for NAND flash, once further horizontal scaling becomes inviable. Since vertical features cannot be etched sideways, a charge trapping layer becomes a very interesting way to build a vertical NAND flash string.

Toshiba and Samsung have disclosed prototypes for vertical charge trapping NAND structures.

Toshiba's BiCS and Samsung's 3D NAND

Toshiba[14] and Samsung[15] have been developing a means of building a standard NAND flash bit string vertically rather than horizontally to increase the number of bits in a given area of silicon.

Figure 6. Vertical NAND Structure

A rough idea of the cross section of this is shown in Figure 6. In this drawing the red portions represent conductive polysilicon, the blue is silicon dioxide insulating layers, and the yellow is the nitride charge trapping layer.

The vertical structures (only one shown) are cylinders that implement a channel that is wrapped in alternating dielectric and charge trapping layers (blue and yellow). To manufacture such a device layers of conducting polysilicon and silicon dioxide dielectric are deposited first on top of a silicon substrate that contains standard CMOS logic elements. A trench is then etched and its walls are deposited first with silicon dioxide (blue), then silicon nitride (yellow), then another silicon dioxide (blue) layer, forming the gate dielectric, the charge trap, and the tunnel dielectric in that order. Finally the hole is filled with conducting polysilicon (red) which forms the channel. The alternating layers of conductive polysilicon function as the control gates in this structure.

This structure takes advantage of the fact that the charge trap layer does not need to be insulated between each control gate, so it need not be etched in the vertical direction.

Charge trapping in embedded memories

One advantage that charge trapping flash has over other technologies is that it can be relatively easily embedded with a standard logic process. A standard logic process can be converted to a logic-plus-flash process through the addition of three more high voltage masks and three more core CTF masks, and none of these six masks is a critical layer (i.e. needs to use the most advanced part of the process.) All other logic processes can be shared directly.[16]

Further reading

References

  1. Wegener, H.A.R.; et al. (1967). "The variable threshold transistor, a new electrically-alterable, non-destructive read-only storage device". IEDM (International Electron Device Meeting) Technical Digest.
  2. Chen, PCY (1977). "Threshold-alterable Si-gate MOS devices". IEEE Transactions on Electron Devices. ED-24: 584. doi:10.1109/t-ed.1977.18783.
  3. Eitan, Boaz. "US Patent 5,768,192: Non-volatile semiconductor memory cell utilizing asymmetrical charge trapping". US Patent & Trademark Office. Retrieved 22 May 2012.
  4. Lammers, David (13 May 2016). "AMD pits MirrorBit against Intel StrataFlash". EE Times.
  5. "Press release "Spansion Unveils First Family of MirrorBit® Quad Solutions for Content Delivery"". Spansion, Incorporated. Retrieved 22 May 2012.
  6. Prince, Betty (2006). "Evolution of Flash Memories: Nitride Storage and Silicon Nanocrystal". CMOSET Conference proceedings: Slide 12.
  7. Prince, Betty (2006). "Evolution of Flash Memories: Nitride Storage and Silicon Nanocrystal". CMOSET Conference proceedings: Slide 12.
  8. Prince, Betty (2006). "Evolution of Flash Memories: Nitride Storage and Silicon Nanocrystal". CMOSET Conference proceedings: Slide 13.
  9. Cambou, Bertrand (2008). "Redefining an Industry - Changing Memory for a Changing World". Spansion Sales Presentation.
  10. Kim, Kinam; Choi, Jungdal (2006). "Future Outlook of NAND Flash Technology for 40nm Node and Beyond". IEEE Nonvolatile Semiconductor Memory Workshop proceedings: 9–11.
  11. "Press Release: Samsung Announces First 40-nanometer Device -- 32Gb NAND Flash With Revolutionary Charge Trap Technology". Samsung. Retrieved 3 November 2013.
  12. Harari, Eli (2010). "SanDisk Financial Analyst Meeting presentation": Slide 16.
  13. "ITRS Process Integration, Devices, and Structures (PIDS) Update 2010". ITRS - The International Technology Roadmap for Semiconductors. Retrieved 22 May 2012.
  14. "Press Release: Toshiba Develops New NAND Flash Technology". Toshiba Corporation. Retrieved 22 May 2012.
  15. Kimura, Masahide (17 September 2009). "3D Cells Make Terabit NAND Flash Possible". Nikkei Tech-On.
  16. Chung, Sung-Yong (2010). "Technology and design of charge trapping device for NOR flash application". International Memory Workshop short course.
This article is issued from Wikipedia - version of the 10/22/2016. The text is available under the Creative Commons Attribution/Share Alike but additional terms may apply for the media files.